> Publications

[ Google Scholar, DBLP ]

Thesis
§ [PhD]. Addressing Prolonged Restore Challenges in Further Scaling DRAMs [slides (pdf), pptx], Pittsburgh, July 2017.
2017
§ [C8]. Xianwei Zhang, Youtao Zhang, Bruce R. Childers and Jun Yang, DrMP: Mixed Precision-aware DRAM for High Performance Approximate and Precise Computing, The 26th International Conference on Parallel Architectures and Compilation Techniques (PACT), Portland, Oregon, USA, September 2017.
§ [J1]. Xianwei Zhang, Youtao Zhang, Bruce R. Childers and Jun Yang, On the Restore Time Variations of Future DRAM Memory, ACM Trans. on Design Automation of Electronic Systems (TODAES), 22(2), February 2017.
2016
§ [C7]. Xianwei Zhang, Youtao Zhang, Bruce R. Childers and Jun Yang, AWARD: Approximation-aWAre Restore in Further Scaling DRAM, The International Symposium on Memory Systems (MemSys), Washington D.C., USA, October 2016.
§ [C6]. Xianwei Zhang, Youtao Zhang, Bruce R. Childers and Jun Yang, Restore Truncation for Performance Improvement in Future DRAM Systems, The 22nd IEEE Symposium on High Performance Computer Architecture (HPCA), Barcelona, Spain, March 2016.
2015
§ [C5]. Xianwei Zhang, Youtao Zhang, Bruce R. Childers and Jun Yang, Exploiting DRAM Restore Time Variations in Deep Sub-micron Scaling, The IEEE conference on Design, Automation and Test in Europe (DATE), Grenoble, France, March 2015.
§ [C4]. Xianwei Zhang, Youtao Zhang and Jun Yang, DLB: Dynamic Lane Borrowing for Improving Bandwidth and Performance in Hybrid Memory Cube, The 33rd IEEE International Conference on Computer Design (ICCD), New York City, USA, October 2015.
§ [C3]. Xianwei Zhang, Youtao Zhang and Jun Yang, TriState-SET: Proactive SET for Improved Performance in MLC Phase Change Memories, The 33rd IEEE International Conference on Computer Design (ICCD), New York City, USA, October 2015.
§ [C2]. Xianwei Zhang, Lei Zhao, Youtao Zhang and Jun Yang, Exploit Common Source-Line to Construct Energy Efficient Domain Wall Memory based Caches, The 33rd IEEE International Conference on Computer Design (ICCD), New York City, USA, October 2015.
§ [W1]. Xianwei Zhang, Youtao Zhang and Jun Yang, Adaptive Lane Borrowing of Hybrid Memory Cube, (WIP), The 52nd ACM/IEEE Design Automation Conference (DAC), San Francisco, USA, June 2015.
2013
§ [C1]. Xianwei Zhang, Lei Jiang, Youtao Zhang, Chuanjun Zhang and Jun Yang, WoM-SET: Lowering Write Power of Proactive-SET based PCM Write Strategy Using WoM Code, The International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 2013. (Best Paper Award)