All Publications

2024

DAC Zewei Mo, Yingheng Li, Aditya Pawar, Xulong Tang, Jun Yang and Youtao Zhang,
FCM: Wire Cutting For Fusion Reduction in Measurement-based Quantum Computing,
The 61st ACM/IEEE Design Automation Conference, San Francisco, June 2024.

2023

MICRO Yanan Guo, Dingyuan Cao,Xin Xin, Youtao Zhang, and Jun Yang,
Uncore Encore: Covert Channels Exploiting Uncore Frequency Scaling,
The 56th IEEE/ACM International Symposium on Microarchitecture, Toronto, Canada, October 2023.
ICCD Yue Dai, Xulong Tang, and Youtao Zhang,
FlexGM: An Adaptive Runtime System to Accelerate Graph Matching Networks on GPUs,
The 41st IEEE International Conference on Computer Design, November 2023.
HPCA Mehrnoosh Raoufi, Jun Yang, Xulong Tang, and Youtao Zhang,
AB-ORAM: Constructing Adjustable Buckets for Space Reduction in Ring ORAM,
The 29th IEEE International Symposium on High-Performance Computer Architecture, Montreal, QC, Canada, February 2023.
HPCA Yue Dai, Youtao Zhang, and Xulong Tang,
CEGMA: Coordinated Elastic Graph Matching Acceleration for Graph Matching Networks,
The 29th IEEE International Symposium on High-Performance Computer Architecture, Montreal, QC, Canada, February 2023.
HPCA Bingyao Li, Jieming Yin, Anup Holey, Youtao Zhang, Jun Yang, and Xulong Tang,
Trans-FW: Short Circuiting Page Table Walk in Multi-GPU Systems via Remote Forwarding,
The 29th IEEE International Symposium on High-Performance Computer Architecture, Montreal, QC, Canada, February 2023.
HPCA Yina Lv, Liang Shi, Qiao Li, Congming Gao, Yunpeng Song, Longfei Luo, and Youtao Zhang,
MGC: Multiple-Gray-Code for 3D NAND Flash based High-Density SSDs,
The 29th IEEE International Symposium on High-Performance Computer Architecture, Montreal, QC, Canada, February 2023.
DAC Mehrnoosh Raoufi, Jun Yang, Xulong Tang, and Youtao Zhang,
EP-ORAM: Efficient NVM-Friendly Path Eviction for Ring ORAM in Hybrid Memory,
The 60th ACM/IEEE Design Automation Conference, San Francisco, July 2023.
DAC Yingheng Li, Aditya Pawar, Mohadeseh Azari, Yanan Guo, Youtao Zhang, Jun Yang, Kaushik Seshadreesan, and Xulong Tang,
Orchestrating Measurement-Based Quantum Computation over Photonic Quantum Processors,
The 60th ACM/IEEE Design Automation Conference, San Francisco, July 2023.
ICML Liang Liu, Yanan Guo, Youtao Zhang, and Jun Yang,
Understanding and Defending Patched-based Adversarial Attacks for Vision Transformer,
The Fortieth International Conference on Machine Learning, Hawaii, July 2023.
ICLR Sheng Li, Geng Yuan, Yue Dai, Youtao Zhang, Yanzhi Wang, and Xulong Tang,
SmartFRZ: An Efficient Training Framework using Attention-Based Layer Freezing,
The Eleventh International Conference on Learning Representations, Kigali, Rwanda, May 2023.
ISPA Tianmeng Zhang, Renhui Chen, Congming Gao, Youtao Zhang, Jun Yang and Jiwu Shu,
Libra: A Space-Efficient, High-Performance Inline Deduplication for Emerging Hybrid Storage System,
The 21st IEEE International Symposium on Parallel and Distributed Processing with Applications, Wuhan, China, December 2023.

2022

HPCA Mehrnoosh Raoufi, Youtao Zhang, and Jun Yang,
IR-ORAM: Path Access Type based Memory Intensity Reduction for Path ORAM,
The 28th IEEE International Symposium on High-Performance Computer Architecture, Seoul, South Korea, February 2022.
HPCA Yilun Zhao, Yanan Guo, Yuan Yao, Amanda Dumi, Devin M Mulvey, Shiv Upadhyay, Youtao Zhang, Kenneth D Jordan, Jun Yang, and Xulong Tang,
Q-GPU: A Recipe of Optimizations for Quantum Circuit Simulation Using GPUs,
The 28th IEEE International Symposium on High-Performance Computer Architecture, Seoul, South Korea, February 2022.
HPCA Han Zhao, Weihao Cui, Quan Chen, Youtao Zhang, Yanchao Lu, Chao Li, Jingwen Leng, and Minyi Guo,
Tacker: Tensor-CUDA Core Kernel Fusion for Improving the GPU Utilization while Ensuring QoS,
The 28th IEEE International Symposium on High-Performance Computer Architecture, Seoul, South Korea, February 2022.
S&P Yanan Guo, Andrew Zigerelli, Youtao Zhang, and Jun Yang,
Adversarial Prefetch: New Cross-Core Cache Side Channel Attacks,
The 43rd IEEE Symposium on Security and Privacy, San Francisco, May 2022.
DAC Lei Zhao, Youtao Zhang, and Jun Yang,
SRA: A Secure ReRAM-based DNN Accelerator,
The 59th ACM/IEEE Design Automation Conference, San Francisco, July 2022.
MICRO Yanan Guo, Xin Xin, Youtao Zhang, and Jun Yang,
Leaky Way: A Conflict-Based Cache Covert Channel Bypassing Set Associativity,
The 55th IEEE/ACM International Symposium on Microarchitecture, Chicago, October 2022.
TC Liang Liu, Yanan Guo, Yueqiang Cheng, Youtao Zhang, and Jun Yang,
Generating Robust DNN with Resistance to Bit-Flip based Adversarial Weight Attack,
IEEE Transactions on Computers, accepted.
THPC Yue Dai, Xulong Tang, and Youtao Zhang,
An Efficient Segmented Quantization for Graph Neural Networks,
CCF Transactions on High Performance Computing, accepted.

2021

TOS Congming Gao, Min Ye, Chun Jason Xue, Youtao Zhang, Liang Shi, Jiwu Shu, and Jun Yang,
Reprogramming 3D TLC Flash Memory based Solid State Drives,
ACM Transactions on Storage, accepted.
MICRO Xin Xin, Yanan Guo, Youtao Zhang, and Jun Yang,
SAM: Accelerating Strided Memory Accesses,
The 54th IEEE/ACM International Symposium on Microarchitecture , October 2021.
MICRO Congming Gao, Xin Xin, Youyou Lu, Youtao Zhang, Jun Yang and Jiwu Shu,
ParaBit: Processing Parallel Bitwise Operations in NAND Flash Memory based SSDs,
The 54th IEEE/ACM International Symposium on Microarchitecture , October 2021.
MICRO Bingyao Li Jieming Yin, Youtao Zhang, and Xulong Tang,
Improving Address Translation in Multi-GPUs via Sharing and Spilling aware TLB Design,
The 54th IEEE/ACM International Symposium on Microarchitecture , October 2021.
MICRO Fei Hua, Yanhao Chen, Yuwei Jin, Chi Zhang, Ari Hayes, Youtao Zhang, and Eddy Z. Zhang,
AutoBraid: A Framework for Enabling Efficient Surface Communication in Quantum Computing,
The 54th IEEE/ACM International Symposium on Microarchitecture , October 2021.
ICCAD Weizheng Xu, Ashutosh Pattnaik, Geng Yuan, Yanzhi Wang, Youtao Zhang, and Xulong Tang,
ScaleDNN: Data Movement Aware DNN Training on Multi-GPU,
IEEE/ACM International Conference on Computer-Aided Design, November 2021.
ICCD Lei Zhao, Youtao Zhang, and Jun Yang,
Flipping Bits to Share Crossbars in ReRAM-BasedDNN Accelerator,
The 39th IEEE International Conference on Computer Design, October 2021.
ICCD Yanan Guo, Liang Liu, Yueqiang Cheng, Youtao Zhang, and Jun Yang,
ModelShield: AGeneric and Portable Framework Extension for Defending Bit-Flip based Adversarial WeightAttacks,
The 39th IEEE International Conference on Computer Design, October 2021.
GLVLSI Yanan Guo, Andrew Zigerelli, Youtao Zhang, and Jun Yang,
IVcache: Defending Cache Side Channel Attacks via Invisible Accesses,
ACM Great Lakes Symposium on VLSI , pages 403-408, 2021.

2020

HPCA Xin Xin, Youtao Zhang, and Jun Yang,
ELP2IM: Efficient and Low Power Bitwise Operation Processing in DRAM,
IEEE the 26th International Symposium on High-Performance Computer Architecture, San Diego, CA, February 2020.
DAC Lei Zhao, Youtao Zhang, and Jun Yang,
SCA: A Secure CNN Accelerator for Both Training and Inference,
The 57th ACM/IEEE Design Automation Conference, San Francisco, July 2020.
DAC Xin Xin, Youtao Zhang, and Jun Yang,
Reducing DRAM Access Latency via Helper Rows,
The 57th ACM/IEEE Design Automation Conference, San Francisco, July 2020.
DAC Shiqiang Nie, Youtao Zhang, Weiguo Wu, and Jun Yang,
Layer RBER Variation Aware Read Performance Optimization for 3D Flash Memories,
The 57th ACM/IEEE Design Automation Conference, San Francisco, July 2020.
ICCAD Wen Wen, Youtao Zhang, and Jun Yang,
Accelerating 3D Vertical Resistive Memories with Opportunistic Write Latency Reduction,
IEEE/ACM International Conference on Computer-Aided Design, November 2020.
TCAD Zhengguo Chen, Youtao Zhang, and Nong Xiao,
CacheTree: Reducing Integrity Verification Overhead of Secure Non-Volatile Memories,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, accepted.
TKDD Shuai Ding, Hao Wang, Yeqing Li, Xiaojian Li, and Youtao Zhang,
Hierarchical Physician Recommendation via Diversity-enhanced Matrix Factorization,
ACM Transactions on Knowledge Discovery in Data, accepted.
MSST Zhengguo Chen, Youtao Zhang, and Nong Xiao,
ExtraCC: Improving Performance of Secure NVM with Extra Counters and ECC,
The 36th International Conference on Massive Storage Systems and Technology, Santa Clara, CA, October, 2020.
TPDS Congming Gao, Liang Shi, Kai Liu, Chun Jason Xue, Jun Yang, and Youtao Zhang,
Boosting the Performance of SSDs via Fully Exploiting the Plane Level Parallelism,
IEEE Transactions on Parallel and Distributed Systems, vol.31(9):2185-2200, 2020.

2019

TCAD Wen Wen, Lei Zhao, Youtao Zhang, and Jun Yang,
Exploiting In-memory Data Patterns for Performance Improvement on Crossbar Resistive Memory,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, accepted.
TCAD Quan Deng, Youtao Zhang, Zhenyu Zhao, Shuzheng Zhang, Minxuan Zhang, and Jun Yang,
FRF: Towards Warp-Scheduler Friendly STT-RAM/SRAM Fine-grained Hybrid GPGPU Register File Design,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, accepted.
TCAD Chen Li, Andrew Zigerelli, Jun Yang, Youtao Zhang, Sheng Ma, and Yang Guo,
A Dynamic and Proactive GPU Preemption Mechanism using Checkpointing,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, accepted.
TCAD Congming Gao, Liang Shi, Qiao Li, Kai Liu, Chun Jason Xue, Jun Yang, and Youtao Zhang,
Aging Capacitor Supported Cache Management Scheme for Solid State Drives,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, accepted.
TKDE Shuai Ding, Zijie Yue, Shanlin Yang, Feng Niu, and Youtao Zhang,
A Novel Trust Model based Overlapping Community Detection Algorithm for Social Networks,
IEEE Transactions on Knowledge and Data Engineering, accepted.
TECS Zhengguo Chen, Quan Deng, Nong Xiao, Kirk Pruhs, and Youtao Zhang,
DWMAcc: Accelerating Shift-based CNNs with Domain Wall Memories ,
ACM Transactions on Embedded Computing Systems, Special issue of ESWEEK'2019, International Conference on Hardware/Software Codesign and System Synthesis, NYC, New York, October 2019.
MICRO Congming Gao, Min Ye, Qiao Li, Chun Jason Xue, Youtao Zhang, Liang Shi, and Jun Yang,
Constructing Large, Durable and Fast SSD System via Reprogramming 3D TLC Flash Memory,
The 52nd IEEE/ACM International Symposium on Microarchitecture, pages 493-505, Columbus, Ohio, October 2019.
ASPLOS Chen Li, Rachata Ausavarungnirun, Christopher J. Rossbach, Youtao Zhang, Onur Mutlu, Yang Guo, and Jun Yang,
A Framework for Memory Oversubscription Management in Graphics Processing Units,
The 24th International Conference on Architectural Support for Programming Languages and Operating Systems, Providence, RI, April 2019.
ICS Lei Zhao, Quan Deng, Youtao Zhang, and Jun Yang,
RFAcc: A 3D ReRAM Associative Array based Random Forest Accelerator,
International Conference on Supercomputing, pages 473-483, Phoenix, AZ, June 2019.
DAC Quan Deng, Youtao Zhang, Minxuan Zhang, and Jun Yang,
LAcc: Exploiting Lookup Table-based Fast and Accurate Vector Multiplication in DRAM-based CNN Accelerator,
The 56th ACM/IEEE Design Automation Conference, Las Vegas, NV, June 2019.
DAC Xin Xin, Youtao Zhang, and Jun Yang,
ROC: DRAM-based Processing with Reduced Operation Cycles,
The 56th ACM/IEEE Design Automation Conference, Las Vegas, NV, June 2019.
DAC Liang Liu, Rujia Wang, Youtao Zhang, and Jun Yang,
H-ORAM: A Cacheable ORAM Interface for Efficient I/O Accesses,
The 56th ACM/IEEE Design Automation Conference, Las Vegas, NV, June 2019.
DAC Qiao Li, Liang Shi, Jun Yang, Youtao Zhang, and Jason Chun Xue,
Leveraging Approximate Data for Robust Flash Storage,
The 56th ACM/IEEE Design Automation Conference, Las Vegas, NV, June 2019.
ICCD Wen Wen, Youtao Zhang, and Jun Yang,
ReNEW: Enhancing Lifetime for ReRAM Crossbar based Neural Network Accelerators,
The 37th IEEE International Conference on Computer Design, pages 487-496, Abu Dhabi, UAE, November 2019.
ISVLSI Mehrnoosh Raoufi, Quan Deng, Youtao Zhang, and Jun Yang,
PageCmp: Bandwidth Efficient Page Deduplication through In-memory Page Comparison,
IEEE Computer Society Annual Symposium on VLSI, Miami, FL, July 2019.
ASP-DAC Xianwei Zhang, Rujia Wang, Youtao Zhang, and Jun Yang,
Boosting Chipkill Capability under Retention-Error Induced Reliability Emergency,
The 24th Asia and South Pacific Design Automation Conference, Japan, January 2019.
MSST Congming Gao, Liang Shi, Jason Chun Xue, Jun Yang and Youtao Zhang,
Parallel all the time: Plane Level Parallelism Exploration for High Performance SSD,
The 35th International Conference on Massive Storage Systems and Technology, Santa Clara, CA, May 2019.
JSA Jinhua Cui, Youtao Zhang, Liang Shi, Chun Jason Xue, Jun Yang, Weiguang Liu, and Laurence T. Yang,
Leveraging Partial-Refresh for Performance and Lifetime Improvement of 3D NAND Flash Memory in Cyber-Physical Systems,
Journal of System Architecture, accepted.
NVMSA Shiqiang Nie, Youtao Zhang, Weiguo Wu, Chi Zhang and Jun Yang,
DIR: Dynamic Request Interleaving for Improving the Read Performance of Aged SSDs,
The 8th IEEE Non-Volatile Memory Systems and Applications Symposium, Hangzhou, China, August, 2019.
IEEE Micro Mimi Xie, Cheng Pan, Yongpan Liu, Youtao Zhang, Chun Jason Xue, Jingtong Hu,
A Novel STT-RAM-based Hybrid Cache for Intermittently Powered Processors in IoT Devices,
IEEE Micro, Vol. 39(1), pages 24-32, 2019.

2018

HPCA Rujia Wang, Youtao Zhang, and Jun Yang,
D-ORAM: Path-ORAM Delegation for Low Execution Interference on Cloud Servers with Untrusted Memory,
IEEE the 24th International Symposium on High-Performance Computer Architecture, Vienna, Austria, February 2018.
DAC Wen Wen, Youtao Zhang, and Jun Yang,
Wear Leveling for Crossbar Resistive Memory,
The 55th ACM/IEEE Design Automation Conference, San Francisco, CA, June 2018.
DAC Quan Deng, Lei Jiang, Youtao Zhang, Minxuan Zhang, and Jun Yang,
DrAcc: A DRAM based Accelerator for Accurate CNN Inference,
The 55th ACM/IEEE Design Automation Conference, San Francisco, CA, June 2018.
ISLPED Tyler Garrett, Jun Yang and Youtao Zhang,
Enabling Intra-Plane Parallel Block Erase in NAND Flash to Alleviate the Impact of Garbage Collection,
The ACM/IEEE International Symposium on Low Power Electronics and Design, Bellevue, Washington, July 2018.
DATE Jinhua Cui, Youtao Zhang, Jianhang Huang, Weiguo Wu and Jun Yang,
ShadowGC: Cooperative Garbage Collection with Multi-level Buffer for Performance Improvement in NAND flash-based SSDs,
The IEEE conference on Design, Automation and Test in Europe, Dresden, Germany, March 2018.
TCAD Jinhua Cui, Youtao Zhang, Liang Shi, Chun Jason Xue, Weiguo Wu, and Jun Yang,
ApproxFTL: On the Performance and Lifetime Improvement of 3D NAND Flash based SSDs,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol.37(10):1957-1970, 2018.
TCAD Jinhua Cui, Youtao Zhang, Weiguo Wu, Jun Yang, Yinfeng Wang, and Jianhang Huang,
DLV: Exploiting Device Level Latency Variations for Performance Improvement on Flash Memory Storage Systems,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol.37(8):1546-1559, 2018.

2017

ISCA Zhenning Wang, Jun Yang, Rami Melhem, Bruce R. Childers, Youtao Zhang, and Minyi Guo,
Quality of Service Support for Fine-Grained Sharing on GPUs,
The 44th International Symposium on Computer Architecture, Toronto, ON, Canada, June 2017.
HPCA Rujia Wang, Youtao Zhang, and Jun Yang,
Cooperative Path-ORAM for Effective Memory Bandwidth Sharing in Server Settings,
IEEE the 23rd International Symposium on High-Performance Computer Architecture, Austin, February 2017.
PACT Xianwei Zhang, Youtao Zhang, Bruce R. Childers, and Jun Yang,
DrMP: Mixed Precision-aware DRAM for High Performance Approximate and Precise Computing,
IEEE the 26th International Conference on Parallel Architectures and Compilation Techniques, Portland, Oregon, September 2017.
ICCAD Lei Zhao, Youtao Zhang and Jun Yang,
AEP: An Error-bearing Neural Network Accelerator for Energy Efficiency and Model Protection,
IEEE/ACM International Conference on Computer-Aided Design, Irvine, CA, November 2017.
ICCAD Quan Deng, Youtao Zhang, Minxuan Zhang and Jun Yang,
Towards Warp-Scheduling Friendly STT-MRAM/SRAM Hybrid GPGPU Register File Design,
IEEE/ACM International Conference on Computer-Aided Design, Irvine, CA, November 2017.
ICCAD Wen Wen, Lei Zhao, Youtao Zhang and Jun Yang,
Speeding Up Crossbar Resistive Memory by Exploiting In-memory Data Patterns,
IEEE/ACM International Conference on Computer-Aided Design, Irvine, CA, November 2017.
Best Paper Candidate.
TODAES Xianwei Zhang, Youtao Zhang, Bruce R. Childers, and Jun Yang,
On the Restore Time Variations of Future DRAM Memory,
ACM Transactions on Design Automation of Electronic Systems, Vol. 22(2), 26:1-26:24, 2017.
ISQED Lei Zhao, Lei Jiang, Youtao Zhang, Nong Xiao, and Jun Yang,
Constructing Fast and Energy Efficient 1TnR based ReRAM Crossbar Memory,
IEEE the 18th International Symposium on Quality Electronic Design, Santa Clara, March 2017.
Best Paper Nominee.
ICCD Wen Wen, Youtao Zhang, and Jun Yang,
Read Error Resilient MLC STT-MRAM based Last Level Cache,
The 35th IEEE International Conference on Computer Design, Boston, Massachusetts, November 2017.
NVMSA Lei Zhao, Youtao Zhang, and Jun Yang,
Mitigating Shift-Based Covert-Channel Attacks in Racetrack Last Level Caches,
The 6th IEEE Non-Volatile Memory Systems and Applications Symposium, Hsinchu, Taiwan, August 2017.
MIPRO Wen Wen, Jun Yang, and Youtao Zhang,
Optimizing Power Efficiency for 3D Stacked GPU-In-Memory Architecture,
Elsevier Microprocessors and Microsystems: Embedded Hardware Design, Vol. 49: 44-53, 2017.
DSS Shuai Ding, Chengyi Xia, Chengjiang Wang, Desheng Wu, and Youtao Zhang ,
Multi-objective Optimization based Ranking Prediction for Cloud Service Recommendation
Elsevier Decision Support Systems, Vol. 101(C): 106-114, 2017.
CAL Rujia Wang, Sparsh Mittal, Youtao Zhang, and Jun Yang,
Decongest: Accelerating Super-Dense PCM under Write Disturbance by Hot Page Remapping,
IEEE Computer Architecture Letters, Vol.16(2):107-110, 2017.


2016

HPCA Xianwei Zhang, Youtao Zhang, Bruce R. Childers, and Jun Yang,
Restore Truncation for Performance Improvement in Future DRAM Systems,
IEEE the 22nd International Symposium on High-Performance Computer Architecture, Barcelona, Spain, March 2016.
HPCA Zhenning Wang, Jun Yang, Rami Melhem, Bruce R. Childers, Youtao Zhang, and Minyi Guo,
Simultaneous Multikernel GPU: Multi-tasking Throughput Processors via Fine-Grained Sharing,
IEEE the 22nd International Symposium on High-Performance Computer Architecture, Barcelona, Spain, March 2016.
DSN Rujia Wang, Youtao Zhang, and Jun Yang,
ReadDuo: Constructing Reliable MLC Phase Change Memory through Fast and Robust Readout,
The 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, Toulouse, France, June 2016.
CODES+ISSS Mimi Xie, Mengying Zhao, Hehe Li, Chen Pan, Youtao Zhang, Yongpan Liu, Jason Xue, and Jingtong Hu,
Checkpoint Aware Hybrid Cache Architecture for NV Processor in Energy Harvesting Powered Systems,
The International Conference on Hardware/Software Codesign and System Synthesis, Pittsburgh, October 2016.
NVMSA Chi Zhang, Wonsun Ahn, Youtao Zhang, and Bruce R. Childers,
Live Code Update for IoT Devices in Energy Harvesting Environments,
The 5th Non-Volatile Memory Systems and Applications Symposium, Daegu, Korea, August 2016.
CAL Zhenning Wang, Jun Yang, Rami Melhem, Bruce R. Childers, Youtao Zhang, and Minyi Guo,
Simultaneous Multikernel: Fine-grained Sharing of GPUs,
IEEE Computer Architecture Letters, Vol. 15(2): 113-116, 2016.

2015

ASPLOS Rujia Wang, Lei Jiang, Youtao Zhang, and Jun Yang,
SD-PCM: Constructing Reliable Super Dense Phase Change Memory under Write Disturbance,
The 20th International Conference on Architectural Support for Programming Languages and Operating Systems,
pages 19-31, Istanbul, Turkey, March 2015.
DAC Rujia Wang, Lei Jiang, Youtao Zhang, Linzhang Wang, and Jun Yang,
Selective Restore: an Energy Efficient Read Disturbance Mitigation Scheme for Future STT-MRAM,
The 52nd ACM/IEEE Design Automation Conference, pages 21:1-21:6, San Francisco, CA, June 2015.
DAC Rujia Wang, Lei Jiang, Youtao Zhang, Linzhang Wang, and Jun Yang,
Exploit Imbalanced Cell Writes to Mitigate Write Disturbance in Dense Phase Change Memory,
The 52nd ACM/IEEE Design Automation Conference, pages 88:1-88:6, San Francisco, CA, June 2015.
TODAES Lei Jiang, Bo Zhao, Jun Yang, and Youtao Zhang,
Constructing Large and Fast On-chip Cache for Mobile Processors with Multi-Level Cell STT-MRAM Technology,
ACM Transactions on Design Automation of Electronic Systems, Vol. 20(4), 54:1-54:24, 2015.
TOPC Yi Xu, Bo Zhao, Jun Yang, and Youtao Zhang,
Simple Virtual Channel Allocation for High Throughput and High Frequency On-Chip Routers,
ACM Transactions on Parallel Computing, Vol. 2(1), pages 6:1--6:23, 2015 .
TCAD Mengying Zhao, Lei Jiang, Liang Shi, Youtao Zhang and Chun Jason Xue,
Wear Relief for High-density Phase Change Memory through Cell Morphing Considering Process Variation,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol 34 (2), pages 227-237, 2015.
ICCD Xianwei Zhang, Lei Zhao, Youtao Zhang, and Jun Yang,
Exploit Common Source-Line to Construct Energy Efficient Domain Wall Memory based Caches,
The 33rd IEEE International Conference on Computer Design, New York City, October 2015.
ICCD Xianwei Zhang, Youtao Zhang, and Jun Yang,
DLB: Dynamic Lane Borrowing for Improving Bandwidth and Performance in Hybrid Memory Cube,
The 33rd IEEE International Conference on Computer Design, New York City, October 2015.
ICCD Xianwei Zhang, Youtao Zhang, and Jun Yang,
TriState-SET: Proactive SET for Improved Performance of MLC Phase Change Memories,
The 33rd IEEE International Conference on Computer Design, New York City, October 2015.
MEMSYS Bruce R. Childers, Jun Yang, and Youtao Zhang,
Achieving Yield, Density and Performance Effective DRAM at Extreme Technology Sizes ,
International Symposium on Memory Systems, pages 78-84, Washington, DC, October 2015.
DAC(p) Xianwei Zhang, Youtao Zhang, and Jun Yang,
Adaptive Lane Borrowing of Hybrid Memory Cube, (Poster),
The 52nd ACM/IEEE Design Automation Conference, San Francisco, CA, June 2015.
DATE Xianwei Zhang, Youtao Zhang, Bruce Childers, and Jun Yang,
Exploiting DRAM Restore Time Variations in Deep Sub-micron Scaling,
The IEEE conference on Design, Automation and Test in Europe, pages 477-482, Grenoble, France, March 2015.
HPCC Yizhi Wu, and Youtao Zhang,
GA based Placement Optimization for Hybrid Distributed Storage,
The IEEE 17th International Conference on High Performance Computing and Communications, pages 198-203, New York, August 2015.

2014

ISCA Lei Jiang, Bo Zhao, Youtao Zhang, and Jun Yang,
A Low Power and Reliable Charge Pump Design for Phase Change Memories,
The 41st International Symposium on Computer Architecture, pages 397-408, Minneapolis, MN, 2014.
DSN Lei Jiang, Youtao Zhang, and Jun Yang,
Mitigating Write Disturbance in Super Dense Phase Change Memories,
The 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, pages 216-227, San Atlanta, GA, 2014.
DAC Mengying Zhao, Lei Jiang, Youtao Zhang, Chun Jason Xue,
SLC-enabled Wear Leveling for MLC PCM Considering Process Variation,
The 51st ACM/IEEE Design Automation Conference, pages 36:1-36:6, San Francisco, CA, June 2014.
TC Ping Zhou, Bo Zhao, Youtao Zhang, and Jun Yang,
Throughput Enhancement for Phase Change Memories,
IEEE Transactions on Computers, Vol. 63(8), 2080-2093, 2014.
CLUSTER Yimo Du, Youtao Zhang, Nong Xiao, and Fang Liu,
CD-RAIS: Constrained dynamic striping in redundant array of independent SSDs,
The 2014 IEEE International Conference on Cluster Computing, pages 212-220, September 22-26, Madrid, Spain, 2014.
ICPP Yimo Du, Youtao Zhang, and Nong Xiao,
R-Dedup: Content Aware Redundancy Management for SSD-based RAID Systems,
The 43rd International Conference on Parallel Processing, pages 111-120, Minneapolis, MN, 2014.
KBS Shuai Ding, Shanlin Yang, Youtao Zhang, Chang-yong Liang, Chenyi Xia,
Combining QoS prediction and customer satisfaction estimation to solve cloud service trustworthiness evaluation problems,
Knowledge Based Systems, Vol 56, 216-225, 2014.

2013

TC Bo Zhao, Yu Du, Jun Yang, and Youtao Zhang,
Process Variation Aware Non-Uniform Cache Management in 3D Die Stacked Multicore Processor,
IEEE Transactions on Computers, Vol 62(11), 2252-2265, 2013.
TODAES Bo Zhao, Jun Yang, Youtao Zhang, Yiran Chen, and Hai Li
Common-source-line Array: An Area Efficient Memory Architecture for Bipolar Nonvolatile Devices,
ACM Transactions on Design Automation of Electronic Systems, Vol 18(4), 2013.
TACO Lei Jiang, Yu Du, Bo Zhao, Youtao Zhang, Bruce R. Childers, and Jun Yang,
Hardware Assisted Cooperative Integration of Wear-Leveling and Salvaging for Phase Change Memory,
ACM Transactions on Architecture and Code Optimization, Vol. 10(2), 2013.
ISLPED Xianwei Zhang, Lei Jiang, Youtao Zhang, Chuanjun Zhang, and Jun Yang,
WoM-SET: Lowering Write Power of Proactive-SET based PCM Write Strategy using WoM Code,
The International Symposium on Low Power Electronics and Design, pages 217-222, Beijing, China, 2013.
Best Paper Award.
NOCS Bo Zhao, Youtao Zhang, and Jun Yang,
A Speculative Arbiter Design to Enable High-Frequency Many-VC Router in NoCs,
The 7th International Symposium on Networks-on-Chip, pages 1-8, Tempe, Arizona, April 2013.
LCTES Qingan Li, Lei Jiang, Youtao Zhang, Yanxiang He and Chun Xue,
Compiler Directed Write-Mode Selection for High Performance Low Power Volatile PCM,
ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems, pages 101-110, Seattle, June 2013.
DATE(p) Ping Zhou, Youtao Zhang, and Jun Yang,
The Design of Sustainable Wireless Sensor Network Node using Solar Energy and Phase Chance Memory, (interactive presentation)
The IEEE conference on Design, Automation and Test in Europe, pages 869-872, Grenoble, France, March 2013.
DATE Jie Guo, Jun Yang, Youtao Zhang, and Yiran Chen,
Low Cost Power Failure Protection For MLC NAND Flash Storage Systems with PRAM/DRAM Hybrid Buffer,
The IEEE conference on Design, Automation and Test in Europe, pages 859-864, Grenoble, France, March 2013.

2012

MICRO Lei Jiang, Youtao Zhang, Bruce R. Childers, and Jun Yang,
FPB: Fine-grained Power Budgeting to Improve Write Throughput of Multi-level Cell Phase Change Memory,
The 45th Annual IEEE/ACM International Symposium on Microarchitecture, pages 1-12, Vancouver, BC, Canada, December 2012.
HPCA Lei Jiang, Bo Zhao, Youtao Zhang, Jun Yang, and Bruce R. Childers,
Improving Write Operations in MLC Phase Change Memory,
The 18th IEEE International Symposium on High Performance Computer Architecture, pages 201-210, New Orleans, Louisiana, February 2012.
DAC Lei Jiang, Bo Zhao, Youtao Zhang, and Jun Yang,
Constructing Large and Fast Multi-level Cell STT-MRAM based Cache for Embedded Processors,
The 49th ACM/IEEE Design Automation Conference, pages 907-912, San Francisco, CA, June 2012.
ISLPED Lei Jiang, Youtao Zhang, and Jun Yang,
ER: Elastic RESET for Low Power and Long Endurance MLC based Phase Change Memory,
The International Symposium on Low Power Electronics and Design, pages 39-44, Redondo Beach, CA, July 2012.
Best Paper Nominee.
DATE(p) Bo Zhao, Jun Yang, Youtao Zhang, Yiran Chen, and Hai Li,
Architecting a Common-Source-Line Array for Bipolar Non-Volatile Memory Devices, (interactive presentation)
The IEEE conference on Design, Automation and Test in Europe, pages 1451-1454, Dresden, Germany, March 2012.

2011

ICS Yi Xu, Yu Du, Youtao Zhang, and Jun Yang,
A Composite and Scalable Cache Coherence Protocol for Large Scale CMPs,
The 25th International Conference on Supercomputing, pages 285-294, Tucson, AZ, June 2011.
DSN Lei Jiang, Yu Du, Youtao Zhang, Bruce R. Childers, and Jun Yang,
LLS: Cooperative Integration of Wear-Leveling and Salvaging for PCM Main Memory,
The 41st Annual IEEE/IFIP International Conference on Dependable Systems and Networks, pages 221-232, Hong Kong, China, June 2011.
PACT(p) Ping Zhou, Bo Zhao, Youtao Zhang, Jun Yang, and Yiran Chen,
MRAC: A Memristor-based Reconfigurable Framework for Adaptive Cache Replacement, (poster),
The 20th International Conference on Parallel Architectures and Compilation Techniques, pages 207-208, Galveston Island, Texas, October 2011.
ISLPED Lei Jiang, Youtao Zhang, and Jun Yang,
Enhancing Phase Change Memory Lifetime through Fine-Grained Current Regulation and Voltage Upscaling,
The International Symposium on Low Power Electronics and Design, pages 127-132, Fukuoka, Japan, August 2011.
ISPASS Santiago Bock, Bruce R. Childers, Rami Melhem, Daniel Mosse, and Youtao Zhang,
Analyzing the Impact of Useless Write-backs on Endurance and Energy Consumption of PCM Main Memory,
IEEE International Symposium on Performance Analysis of Systems and Software, pages 56-65, Austin, Texas , April 2011.
DATE Lin Li, Youtao Zhang, and Jun Yang,
Proactive Recovery for BTI in High-k SRAM Cells,
The IEEE conference on Design, Automation and Test in Europe, pages 1-6, Grenoble, France, March 2011.
JSA Yang Zhao, Youtao Zhang, Zhiguang Qin, Taieb Znati,
A Co-commitment based Secure Data Collection Scheme for Tiered Wireless Sensor Networks,
Journal of System Architecture, Vol. 57(6), pages 655–662, 2011.

2010

HPCA Yi Xu, Bo Zhao, Youtao Zhang, and Jun Yang,
Simple Virtual Channel Allocation for High Throughput and High Frequency On-chip Routers,
The 16th IEEE International Symposium on High-Performance Computer Architecture, pages 1-11, Bangalore, India, January 2010.
IEEE Micro Benjamin C. Lee, Ping Zhou, Doug Burger, Engin Ipek, Onur Mutlu, Jun Yang, Youtao Zhang, and Bo Zhao,
Phase Change Technology and the Future of Main Memory,
IEEE Micro, Special Issue: Micro's Top Picks from 2009 Computer Architecture Conferences (MICRO TOP PICKS), Vol. 30(1), 2010.
TACO Xiuyi Zhou, Jun Yang, Marek Chrobak, and Youtao Zhang,
Performance-aware thermal management via task scheduling,
ACM Transactions on Architecture and Code Optimization, Vol. 7(1), 2010.
TPDS Xiuyi Zhou, Jun Yang, Yi Xu, Youtao Zhang, and Jianhua Zhao,
Thermal-Aware Task Scheduling for 3D Multicore Processors,
IEEE Transactions on Parallel and Distributed Systems, Vol. 21(1), pages 60-71, 2010.
IPDPS Ping Zhou, Yu Du, Youtao Zhang, and Jun Yang,
Fine-Grained QoS Scheduling for PCM-based Main Memory Systems,
IEEE the 24th International Parallel & Distributed Processing Symposium, pages 1-12, Atlanta, Georgia, April 2010.
LCTES Weijia Li, and Youtao Zhang,
An Efficient Code Update Scheme for DSP Applications in Mobile Embedded Systems,
ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems, in conjunction with CPS Week 2010,
pages 105-114, Stockholm, Sweden, April 2010.
DATE Lin Li, Youtao Zhang, Jun Yang, and Jianhua Zhao,
Proactive NBTI Mitigation for Busy Functional Units in Out-of-Order Microprocessors,
The IEEE conference on Design, Automation and Test in Europe, pages 411-416, Dresden, Germany, March 2010.
JPDC Jun Yang, Lan Gao, Youtao Zhang, Marek Chrobak, and Hsien-Hsin S Lee,
A Low-cost Memory Remapping Scheme for Address Bus Protection,
Journal of Parallel and Distributed Computing, Elsevier, Vol. 70(5), pages 443-457, 2010.
WINET Youtao Zhang, Jun Yang, Hai T. Vu, and Yizhi Wu,
The Design and Evaluation of Interleaved Authentication for Filtering False Reports in Multipath Routing WSNs,
Wireless Networks, Springer, Vol. 16(1), pages 125-140, 2010.
JNCA Youtao Zhang, Jun Yang, Weijia Li, Linzhang Wang, and Lingling Jin,
An Authentication Scheme for Locating Compromised Sensor Nodes in WSNs,
Journal of Network and Computer Applications, Elsevier, Vol. 33(1), pages 50-62, 2010.

2009

ISCA Ping Zhou, Bo Zhao, Jun Yang, and Youtao Zhang,
A Durable and Energy Efficient Main Memory Using Phase Change Memory Technology,
ACM/IEEE 36th International Symposium on Computer Architecture, pages 14-23, Austin, Texas, June 2009.
MICRO Bo Zhao, Yu Du, Youtao Zhang, and Jun Yang,
Variation-Tolerant Non-Uniform 3D Cache Management in Die Stacked Multicore Processor,
The 42nd Annual IEEE/ACM International Symposium on Microarchitecture, pages 222-231, New York, December 2009.
HPCA Yi Xu, Yu Du, Bo Zhao, Xiuyi Zhou, Youtao Zhang, and Jun Yang,
A Low-Radix and Low-Diameter 3D Interconnection Network Design,
The 15th IEEE International Symposium on High-Performance Computer Architecture, pages 30-42, Raleigh, North Carolina, 2009.
Best Paper Nominee.
ICCAD Ping Zhou, Bo Zhao, Jun Yang, and Youtao Zhang,
Energy Reduction for STT-RAM Using Early Write Termination,
IEEE/ACM International Conference on Computer-Aided Design, pages 264-268, San Jose, CA, November 2009.
TACO Weijia Li, Youtao Zhang, Jun Yang, and Jiang Zheng,
Towards Update-Conscious Compilation for Energy-Efficient Code Dissemination in WSNs,
ACM Transactions on Architecture and Code Optimization, Vol. 6(4), 2009.
RTCSA Yang Zhao, Youtao Zhang, Zhiguang Qin, and Taieb Znati,
SDC: Secure Data Collection for Time Based Queries in Tiered Wireless Sensor Networks,
The 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, pages 255-262, Beijing, China, August 2009.
DCOSS Weijia Li, Youtao Zhang, and Bruce Childers,
MCP: an Energy-Efficient Code Distribution Protocol for Multi-Application WSNs,
The 5th IEEE International Conference on Distributed Computing in Sensor Systems,
LNCS 5516, Springer-Verlag, pages 259-272, Marina Del Rey, California, June 2009.
ASPDAC Ping Zhou, Bo Zhao, Yi Xu, Yu Du, Youtao Zhang, Jun Yang, and Li Zhao,
Frequent Value Compression in Packet-based NoC Architecture,
The 14th IEEE/ACM Asia and South Pacific Design Automation Conference, pages 13-18, Yokohama, Japan, January 2009.
JCEE Youtao Zhang, Jun Yang, and Lan Gao,
Supporting Flexible Streaming Media Protection through Privacy-aware Secure Processors,
A special issue on Circuits and Systems for Real-Time Security and Copyright Protection of Multimedia,
Journal of Computers and Electrical Engineering, Elsevier, Vol. 35(2), pages 286-299, 2009.

2008

ICPP Xiuyi Zhou, Yi Xu, Yu Du, Youtao Zhang and Jun Yang,
Thermal Management for 3D Processors via Task Scheduling,
International Conference on Parallel Processing, pages 115-122, Portland, Oregon, 2008.
ISPASS Jun Yang, Xiuyi Zhou, Marek Chrobak, Youtao Zhang, Lingling Jin,
Dynamic Thermal Management through Task Scheduling,
International Symposium on Performance Analysis of Systems and Software, pages 191-201, April 2008.
EUC Weijia Li, Yu Du, Youtao Zhang, Bruce Childers, Ping Zhou, Jun Yang,
Adaptive Buffer Management for Efficient Code Dissemination in Multi-Application Wireless Sensor Networks,
IEEE International Conference on Embedded and Ubiquitous Computing, pages 295-301, Shanghai, China, December 2008.

2007

PLDI Weijia Li, Youtao Zhang, Jun Yang, and Jiang Zheng,
UCC: Update-conscious Compilation for Energy Efficiency in Wireless Sensor Networks,
ACM SIGPLAN Conference on Programming Language Design and Implementation, pages 383-393, San Diego, California, June 2007.
JSS Yongjing Lin, Youtao Zhang, and Rajiv Gupta,
The Design and Evaluation of Path Matching Schemes on Compressed Control Flow Traces,
Journal of Systems and Software, Vol. 80(3), pages 396-409, 2007.

2006

HPCA Weidong Shi, Joshua B. Fryman, Guofei Gu, Hsien-Hsin S. Lee, Youtao Zhang, and Jun Yang,
InfoShield: A Security Architecture for Protecting Information Usage in Memory,
The 12th IEEE International Symposium on High Performance Computer Architecture, pages 225-234, Austin, TX, February 2006.
PACT Lan Gao, Jun Yang, Marek Chrobak, Youtao Zhang, San Nguyen, Hsien-Hsin Lee,
A Low-cost Memory Remapping Scheme for Address Bus Protection,
The 15th International Conference on Parallel Architectures and Compilation Techniques, pages 74-83, Seattle, Washington, September 2006.
ICCD Lingling Jin, Wei Wu, Jun Yang, Chuanjun Zhang and Youtao Zhang,
Reduce Register Files Leakage Through Discharging Cells,
IEEE International Conference on Computer Design, pages 114-119, San Jose, California, October 2006.
MASS Weijia Li, Youtao Zhang, and Jun Yang,
Dynamic Authentication-Key Re-assignment for Reliable Report Delivery,
The 3rd IEEE International Conference on Mobile Ad-hoc and Sensor Systems, pages 467-476, Vancouver, Canada, October 2006.
ASAP Youtao Zhang, Jun Yang, and Lan Gao,
Efficient Group Key Management with Tamper-resistant ISA Extensions (Poster),
The 17th IEEE International Conference on Application-specific Systems, Architectures and Processors, pages 269-274, Steamboat Springs, Colorado, September 2006.
DCOSS Youtao Zhang, Jun Yang, Lingling Jin, and Weijia Li,
Locating Compromised Sensor Nodes through Incremental Hashing Authentication,
IEEE International Conference on Distributed Computing in Sensor Systems, pages 321-337, San Francisco, June 2006.
IPDPS Youtao Zhang, Jun Yang, and Hai T Vu,
The Interleaved Authentication for Filtering False Reports in Multipath Routing based Sensor Networks,
The 20th IEEE International Parallel and Distributed Processing Symposium, Rhodes Island, Greece, April 2006.
SP&E Youtao Zhang and Rajiv Gupta,
Compressing Heap Data for Improved Memory Performance,
Software Practice & Experience, Vol. 36(10), pages 1081-1111, 2006.

2005

HPCA Youtao Zhang, Lan Gao, Jun Yang, Xiangyu Zhang, and Rajiv Gupta,
SENSS: Security Enhancement to Symmetric Shared Memory Multiprocessors,
The 11th IEEE International Symposium on High Performance Computer Architecture,
pages 352-362, San Francisco, California, February 2005.
TC Jun Yang, Lan Gao, and Youtao Zhang,
Improving Memory Encryption Performance in Secure Processors,
IEEE Transactions on Computers, Vol. 54(5), pages 630-640, 2005.
TOPLAS Xiangyu Zhang, Rajiv Gupta, and Youtao Zhang,
Cost and Precision Tradeoffs of Dynamic Slicing Algorithms,
ACM Transactions on Programming Languages and Systems, Vol. 27(4), pages 631-661, 2005.
DCC Yongjing Lin and Youtao Zhang,
Performance Comparison of Path Matching Algorithms over Compressed Control Flow Traces,
IEEE Data Compression Conference, pages 113-122, Snowbird, Utah, March, 2005.
SAC Yongjing Lin, Youtao Zhang, Quanzhong Li, and Jun Yang,
Supporting Efficient Query Processing on Compressed XML Files,
The 20th ACM Annual Symposium on Applied Computing, pages 660-665, Santa Fe, New Mexico, March, 2005.
JSA Jun Yang, Jia Yu, and Youtao Zhang,
A Low Energy Cache Design for Multimedia Applications Exploiting Set Access Locality,
Journal of Systems Architecture, Elsevier.
JEC Youtao Zhang and Jun Yang,
Reducing I-cache Energy of Multimedia Applications through LowCost Tag Comparison Elimination,
Journal of Embedded Computing, Vol. 1(4), pages 461-470, 2005.

2004

ICSE Xiangyu Zhang, Rajiv Gupta, and Youtao Zhang,
Efficient Forward Computation of Dynamic Slices Using Reduced Ordered Binary Decision Diagrams,
IEEE/ACM International Conference on Software Engineering, pages 502-511, Edinburgh, UK, May 2004.
WASSA Youtao Zhang, Jun Yang, Yongjing Lin, and Lan Gao,
Architectural Support for Protecting User Privacy on Trusted Processors,
The Workshop on Architectural Support for Security and Anti-Virus, In conjunction with the 11th ASPLOS,
ACM SIGARCH Computer Architecture News, pages 118-123, Boston, MA, October 2004.
LCPC Bengu Li, Youtao Zhang and Rajiv Gupta,
Speculative Subword Register Allocation in Embedded Processors,
The 17th International Workshop on Languages and Compilers for Parallel Computing, LNCS 3602, Springer Verlag, pages 56-71, West Lafayette, Indiana, September 2004.
Book Chapter Youtao Zhang and Rajiv Gupta,
Enabling Partial Cache Line Prefetching Through Data Compression,
High-Performance Computing: Paradigm and Infrastructure, John Wiley & Sons, Inc.
ICPADS Guodong Li, Youtao Zhang, Yongjin Lin, Yaochun Huang,
Scalable Duplication Strategy with Bounded Availability of Processors,
The 10th IEEE International Conference on Parallel and Distributed Systems, Newport Beach, California, July 2004.

2003

MICRO Jun Yang, Youtao Zhang and Lan Gao,
Fast Secure Processor for Inhibiting Software Piracy and Tampering,
The 36th IEEE/ACM International Symposium on Microarchitecture, pages 351-360, San Diego, December 2003.
ICSE Xiangyu Zhang, Rajiv Gupta, and Youtao Zhang,
Precise Dynamic Slicing Algorithms,
IEEE/ACM International Conference on Software Engineering, pages 319-329, Portland, Oregon, May 2003.
ICSE 2003 Distinguished Paper Award.
ICPP Youtao Zhang and Rajiv Gupta,
Enabling Partial Cache Line Prefetching Through Data Compression,
International Conference on Parallel Processing, pages 277-285, Kaohsiung, Taiwan, October 2003.
ICPP 2003 Most Original Paper Award.
ICPP Youtao Zhang and Jun Yang,
Procedural Level Address Offset Assignment of DSP Applications with Loops,
International Conference on Parallel Processing, pages 21-28, Kaohsiung, Taiwan, October 2003.
ISLPED Youtao Zhang and Jun Yang,
Low Cost Instruction Cache Designs for Tag Comparison Elimination,
ACM/IEEE International Symposium on Low Power Electronics and Design, pages 266-269, Seoul, Korea, August 2003.
ISLPED Jun Yang, Jia Yu, and Youtao Zhang,
Lightweight Set Buffer: Low Power Data Cache for Multimedia Applications,
ACM/IEEE International Symposium on Low Power Electronics and Design, pages 270-273, Seoul, Korea, August 2003.

2002

DCC Youtao Zhang and Rajiv Gupta,
Path Matching in Compressed Control Flow Traces,
IEEE Data Compression Conference, pages 132-141, Snowbird, Utah, April 2002.
Book Chapter Rajiv Gupta, Eduard Mehofer, and Youtao Zhang,
Profile Guided Code Optimizations,
The Compiler Design Handbook: Optimizations and Machine Code Generation, Chapter 4, CRC Press, September 2002.
CC Youtao Zhang and Rajiv Gupta,
Data Compression Transformations for Dynamically Allocated Data Structures,
International Conference on Compiler Construction, LNCS 2304, Springer Verlag, pages 14-28, Grenoble, France, April 2002.
CC Rajiv Gupta, Eduard Mehofer, and Youtao Zhang,
A Representation for Bit Section based Analysis and Optimization,
International Conference on Compiler Construction, LNCS 2304, Springer Verlag, pages 62-77, Grenoble, France, April 2002.

2001

PLDI Youtao Zhang and Rajiv Gupta,
Timestamped Whole Program Path Representation and its Applications,
ACM SIGPLAN Conference on Programming Language Design and Implementation,
pages 180-190, Snowbird, Utah, June 2001.

2000

MICRO Jun Yang, Youtao Zhang, and Rajiv Gupta,
Frequent Value Compression in Data Caches,
The 33rd IEEE/ACM International Symposium on Microarchitecture, pages 258-265, Monterey, CA, December 2000.
ASPLOS Youtao Zhang, Jun Yang, and Rajiv Gupta,
Frequent Value Locality and Value-Centric Data Cache Design,
The 9th ACM International Conference on Architectural Support for Programming Languages and Operating Systems,
pages 150-159, Cambridge, MA, November 2000.